The best one stop electronic component platform.
Home / Blog / FPGA Ultimate Flexibility

FPGA Ultimate Flexibility

2024-03-20 17:15:42 476

For decades, people have been searching for ways to reprogram chips.

Field programmable gate array or FPGA is an integrated circuit that can be reprogrammed after manufacturing to simulate digital circuits.

These are very suitable for prototyping new features before mass production, or serving rare use cases that are not cost-effective for custom chips. FPGAs are not the first devices to have this feature, but they are the most commercially successful to date. Their development story is a fascinating combination of technology and business.

For decades, people have been searching for ways to reprogram chips.

 

Start

Going back in time, if someone wanted to create a prototype and test its logical functionality on certain actual hardware, they basically had only two options.

Firstly, they can use TTL chips, which are transistors that can be inserted into printed circuit boards. The disadvantage of this method is that it is limited by the size and power consumption of the circuit board.

Another option is to customize chips. As expected, this choice will incur a significant upfront cost. This means paying for the photomask cost and waiting for several months of manufacturing time. If a mistake is made in the design, it is impossible to accurately edit the JS file and recompile it.

Nowadays, these custom chips are referred to as ASICs or specialized integrated circuits. Unless your use case is very large, taking this path may not be economically meaningful.

But what if you need to have a circuit that can be reprogrammed to have the functionality of your prototype design? With the increasing application of integrated circuits since the 1960s, on-site programmability has become more urgent.

 

PROM

The first integrated circuit capable of implementing programmable logic functions is a programmable read-only memory (PROM).

In 1970, Harris Semiconductor launched PROM, which can be programmed to implement programmable logic gate arrays - AND and OR sets. Input enters the AND set first. Then, these outputs will be input into the OR set and finally output to the end user.

Why are the AND and OR gates? There is a type of logic function called combinatorial logic or time independent logic. Here, the output of the function depends entirely on the input content you provide, just like a deterministic system.

This is opposite to a sequential logic function, which is a type of logic that requires other external terms for output. Just like the sum of scrolling. If you don't remember what the previous amount was, how can you keep the rolling amount?

Return to the combination. Any combination logic function can be expressed by multiple OR gates and AND gates. This is the goal of early field programmable logic circuits.

Harris's PROM products have been repaired at the factory along with the door. This means they cannot be modified. Or gates are programmable. In order to make them programmable, Harris ships equipped with fusible metal links made of nickel chromium alloy instead of traditional aluminum.

Users program through the "burn out" switch - essentially making them disposable. This makes programming quite challenging. You can imagine how much pressure there is to not mess up.

Harris has made pioneering work in manufacturing these combustible fuses. They created a test fuse on the wafer and melted it during the testing process to ensure its normal operation.

It has been proven that using PROM to implement programmable logic is not truly effective because you don't need all these storage units to complete it, you need something more professional.

 

Programmable Logic Array

In 1975, Signals (later part of NXP Semiconductors) launched Programmable Logic Arrays (PLAs) to the market.

Through PLA, both AND and OR groups of logic gates become programmable. This allows users to achieve a wider range of logical functions, such as minimum product sum, but at a high cost.

PLA requires larger chips, thereby increasing overall costs. At that time, the price of each component was approximately $25 based on 1975 US dollars, equivalent to today's $140.

Once again, programming the route by burning out the fuse connection results in a high cost of errors. The tremendous flexibility brings tremendous fault tolerance. Anyway, you can see the market challenges here. Despite these advantages, PLA has never truly become popular. The market still needs something to meet the demand for on-site programmability.

 

Programmable array logic and MMI

In 1977, John Birkner and HT Chua, engineers at Monolithic Memories, modified the PLA and created a new device.

Programmable Array Logic (PAL). Yes, it's actually just that the last two words are reversed. PAL sacrifices some configurability to achieve better performance and cost. It retains programmable AND gates, but fixes the OR set of logic gates. Another modification made by PAL design is to include sequential logic circuits using macro units.

The actual computer circuit uses a combination of combinational logic and sequential logic. Therefore, the PAL designer added timing logic devices such as triggers to the PAL output.

A trigger is a circuit that can store one bit of information (usually 1 or 0) and can be used as part of the output calculation. Just like a light switch remembering whether it has been flipped over. Adding these timing logic circuits makes PAL more suitable for daily consumer use.

Then in the early 1980s, the emergence of new technologies made these circuits easier to reprogram. For example, you can erase it by shining ultraviolet light through the window, eliminating the need for a fuse. These small field programmable devices (PLA, PAL, etc.) can be classified as simple programmable logic devices.

PAL has achieved some success and has been widely produced. Memory manufacturers have found that they are sufficiently similar to their core products to be added to their products. Monolithic Memories allow users to easily learn how to use them. But PAL still faces a big problem, as new companies quickly emerge to challenge their shortcomings.

 

Altera

In 1980, a group of engineers from Intel, Signals, and Intersil founded a design consulting company called Source III. They specialize in helping companies collaborate with their chip suppliers, which is a big deal in an era where design tools are not yet outstanding enough.

Their experience in collaborating with ASIC chip manufacturers prompted them to attempt to manufacture their own on-site programmable hardware. So, they raised $750000 from venture capitalists and established a new company - Altera.

Their first product was released in July 1984, which was EP300, a simple programmable logic device made using CMOS EPROM technology. It is erasable and programmable to meet various needs.

A major problem with PAL is that its structure cannot be extended. Moore's Law allows for more transistors on a chip, but cannot simply add AND gates and achieve better performance proportionally. It becomes too big and begins to slow down.

Therefore, in order to better utilize classical miniaturization technology, Altera was the first to introduce complex programmable logic devices. Here, we adopt a bunch of smaller PALs and connect them together using cross connections to improve scalability.

Altera's choice to use CMOS also has an impact. At that time, there was no CMOS in the United States, so the company had to go to Ricoh in Japan to purchase.

The success of Altera heralds the beginning of the fabless design model that is driving the development of the semiconductor world in the United States today.

 

Xilinx

Altera's complex programmable logic devices have taken a step forward, but it is another company that has turned this concept into what we are today.

In the mid-1980s, an engineer named Ross Freeman from American semiconductor manufacturer Zilog had an idea.

If Moore's Law continues, eventually transistors will become so cheap that they can be manufactured into silicon wafers that meet everyone's needs.

He recruited some Zilog colleagues to join his new startup company to help realize this dream. They named it Xilinx because its original name Logica had been adopted and officially launched in February 1984.

They used their previous connections with precision companies (digital watch manufacturers) to reach an agreement to produce new chips. They promote it as a way for Seiko to keep factories busy and offer exclusive resale rights in Japan.

In order to actually design the product, Xilinx hired a young designer named Bill Carter. Carter is facing enormous challenges. Their only design guidance was Ross Freeman's patent application.

In addition, Seiko uses CMOS 2.5-micron technology for manufacturing, and Carter has no prior experience in this area. He must learn it immediately when communicating with a Japanese speaking wafer factory across the Pacific.

The chip must be very large, and Seiko's engineers admit that they have never manufactured such a big thing before and have raised the risk of this. For a young startup, such a thing would be a disaster.

Carter was repeatedly told to create something simple. Don't try anything crazy, as they may not be able to do it. The things they make are indeed simple and elegant.

Xilinx XC2064, the first FPGA.

 

The emergence of FPGA

Therefore, if we return to PAL, we will find a set of AND gates and an OR gate, as well as macro units of other circuits, such as the trigger mentioned earlier.

And gate is the source of on-site programmability for chips. As I mentioned before, the core issue of the PAL architecture is that if the AND gate set becomes larger, it will slow down. This is because the growth rate of the number of transistors in this group is much faster than the growth rate of the input/output numbers on both sides of the AND group. These inputs/outputs cannot grow rapidly.

The FPGA's solution to this drawback is to completely remove the AND gate group. The FPGA will have a series of configurable logic blocks connected to programmable switches. The device input and output are placed around the device.

Each configurable logical block has a pair of lookup tables inside, essentially like an output array mapped to the input. This lookup table is the way FPGA implements any logical function.

If necessary, the function result can be routed to other logic, such as triggers. The interconnection between logical blocks itself is programmable. The connection can be configured to create any path so that the output of one block can become the input of its adjacent blocks.

The first batch of Xilinx FPGAs required users to connect external memory to store programming in the event of a power outage. Until a few years later, a company called Actel invented anti fuse technology, which helped.

All of this has undergone a fundamental change compared to before. PAL and PLA originate from memory chips, and their structures have family similarities. On the other hand, FPGA looks completely different from this.

 

First FPGA

XC2064 is a large chip with approximately 85000 transistors arranged into 64 configurable logic blocks and 58 input/output blocks. This is equivalent to less than 1000 doors, which doesn't seem so impressive today.

However, as early as 1985, when the chip was first fabricated, its large size limited Seiko's manufacturing capabilities. Carter and his team are anxiously waiting for Seiko to receive the first box of 25 wafers.

They opened the box, hoping to program the chip with something. Among these 25 wafers, only 1 can work - the 11th one. Carter took the last wafer very carefully and successfully programmed it into the inverter. It worked, so the first FPGA was born.

 

FPGA and PAL

This device competes with the PAL device of Monolithic Memories, and most customers confuse the two. They may seem to be doing the same thing, but fundamentally FPGA is very different from PAL.

Firstly, its cost is much higher. This is directly because the mold is too large, resulting in extremely low yield. Therefore, the cost of the first FPGA is several hundred dollars, while PAL is much cheaper. PAL is much cheaper, partly because its structure is similar to memory. So similar that memory manufacturers add them to their product lineup, producing them in large quantities whenever the memory business encounters difficulties.

Secondly, the novelty of FPGA makes it more difficult to use. Xilinx has launched its own electronic design automation or EDA software to help integrate designs into FPGA. It is complex and difficult to use. In addition, its performance is not easy to predict in advance.

Meanwhile, PAL is easier to understand. Their EDA software is very simple and can be widely used through third parties, making it easy for users to get started.

This EDA benefit is very consumer friendly, but it has proven to be a long-term damage to the PAL industry.

 

Moore's Law

However, despite all these early flaws and drawbacks, the first FPGA still stood firm in the market and ultimately flourished. How can FPGA become a viable competitor?

Firstly, we need to talk about Moore's Law. The launch of FPGA coincides with the intersection of technology and business opportunities

In terms of technology, mature lithography techniques have increased the classic scaling speed to an incredible level. As I said, FPGA can be extended, while PAL cannot. Therefore, when the cost of transistors significantly decreases, FPGA benefits first.

Transistors need to be connected. Equally important, a new process called chemical mechanical polishing enables foundries to significantly reduce the manufacturing costs of FPGA interconnects.

Suddenly, the number of lookup tables and interconnect length of FPGA began to grow exponentially. The first FPGA was slow and had limited functionality, but Moore's Law made it faster and more powerful. That's in terms of technology.

In terms of business opportunities, the early 1990s witnessed the rise of independent contract factories. Altera and Xilinx were early pioneers of the fabless entrepreneurship model. Suddenly, any group of designers can come up with an idea, raise funds, and then contact a wafer fab to manufacture it, without the need to first truly master semiconductor manufacturing.

OEM factories can focus on solving early problems that troubled precision workers. They found that FPGAs with large chips are indeed very suitable for honing and mastering their processes.

The FPGA market is thriving due to various new FPGA startups. Almost all of these startups eventually shut down or withdrew from the FPGA business, but they introduced optimizations and discovered new features. Then, Xilinx and Altera will absorb their intellectual property and patents and add them to the current product lineup.

 

Electronic Design Automation EDA

Another big difference is software. As I mentioned earlier, PAL EDA software is easier to use and can be widely used through third-party suppliers.

However, this consumer friendly situation also has its disadvantages. PAL manufacturers are unable to control software and therefore cannot determine the functionality of their hardware. It all depends on their third-party EDA suppliers. PAL manufacturers quickly realized that they could only do what EDA software manufacturers could support, which limited them.

All PAL manufacturers ultimately produce similar hardware, such as the low-cost Wintel PC manufacturer. The market has hit its lowest point.

At the same time, FPGA controls its own EDA. Just like Nvidia writing its own driver, it allows FPGA companies to decide their own future, create software to achieve more functionality and increase automation to improve productivity.

 

Conclusion

Xilinx and Altera continue to dominate the majority of the billion dollar FPGA industry. Although these two companies are no longer independent. Intel acquired Altera for approximately $16.7 billion as early as 2015. AMD estimates to have acquired Xilinx for $50 billion and will ultimately complete it in 2022.

Nowadays, FPGA has been widely used in various industries. With the increasing design and manufacturing costs of ASICs, FPGA has filled a valuable gap in the market, especially in the aerospace, military, and telecommunications fields.

They constantly acquire new features and expand into fields such as artificial intelligence processing and data centers. The challenges still exist, and we will discuss them in future videos, but the functionality and success of FPGA is a unique Silicon Valley story and a reflection of industry innovation capabilities.

Tags:#FPGA#Xilinx#Altera

We are the professional distributor of electronic components, providing a large variety of products to save you a lot of time, effort, and cost with our efficient self-customized service. careful order preparation fast delivery service.

Share this post